White Paper: XC for Verilog Designers

All the latest news and announcements from XCore and XMOS.
Post Reply
User avatar
jason
XCore Expert
Posts: 577
Joined: Tue Sep 08, 2009 5:15 pm
Contact:

White Paper: XC for Verilog Designers

Post by jason »

XMOS technology allows the hardware design process to be described in a high-level language (XC) rather than at a low-level in Verilog, reducing development times while maintaining product flexibility and keeping system costs down.

The XMOS XCore® processor is specifically designed for implementing hardware functions in software. Its event driven execution provides an instant response to external signals without the processing overhead that interrupts incur. Intelligent I/O ports allow precision timing of interface signals to specific clock edges or times.

Hardware written in Verilog maps code sections to the specific registers and low-level logic that it describes. This is equivalent to low-level software written in assembler mapping to specific processor instructions. Software written in high-level languages is quicker and easier to write because it is abstracted away from the low-level
machine instructions. It is also concise, readable and easier to maintain. Describing hardware in XC gives a designer the benefits of using a high-level language, whilst
maintaining tight control over the signal protocol on the chip's pins.

This whitepaper compares Verilog and XC implementations for several simple applications to demonstrate how Verilog structures are implemented in XC, and to highlight the basic XC structures. It assumes a working knowledge of C and Verilog.

Check out the new whitepaper: http://bit.ly/verilog


User avatar
shawn
XCore Addict
Posts: 238
Joined: Thu Dec 17, 2009 5:15 am

Post by shawn »

I knew INMOS's OCCAM is used for just that sort of thing.
I knew XC is a take on OCCAM expressed in C. Therefore
I felt all along XC would appeal to people not unlike myself, to bypass
the standards like Hdl and Verilog. I hope serious programmers like Niklaus Wirth with
his master of the fairies OBERON, gives XMOS a shot. He's ported OBERON to the ARM
and has it plugged into a very big FPGA.
We have been dealt HDL and Verilog for most EDA design, although there are a few high level languages.
XC has a history called OCCAM, How rich do you want your language!? I'm sure some people have put Dave
on the spot asking for such a tool from his XC. Looks like it's already built in...

I knew that too. :geek:
Post Reply